ddr3ip核心_DDR3(4):IP核再封装-程序员宅基地

技术标签: ddr3ip核心  

调取的 DDR3 控制器给用户端预留了接口,用于实现对该 IP 核的控制,我们要做的就是利用这些接口打造合适的 DDR3 控制器。在生成 DDR3 IP 核的界面中,可以找到 User Guide 手册,DDR3 的使用将围绕这个手册来展开。

e31ed208b4f3ea80fc9f3a6f265358b9.png

一、接口说明

打开 User Guide 第 90 页,可以看到 DDR3 IP 核的接口框图如下所示。可以看到,中间部分就是我们调取的 DDR3 IP 核,它预留了两组总线,右边一组直接绑定在 DDR3 芯片端口,其总线信号名称均以 ddr 开头,这部分总线只需要在 top 层设为端口即可,无需自己写代码控制。而左边一组则是留给用户端逻辑,其总线信号名称多以 app 开头,这些信号则需我们自己来编写实现。

41acb14ca28e2bf6b51f7afe69d26b15.png

User Guide 第92页里有个汇总表,我们简单翻译一下。

e035812c281bc1af1d23b8f846207ad1.png

二、命令、写、读

1、命令总线(表格红色部分)

10e1c23a5ae5517525f54063214e8d4a.png

由前面表格和数据手册提供的时序图,我们可以得到以下信息:

(1)app _cmd 命令分为写和读,写为 3‘b000,读为 3'b001;

(2)只有当 app_rdy 和 app_en 信号为高时,命令才有效。

2、写总线(表格黄色部分)

数据手册提供的时序图如下所示。共有 3 种传输模式。模式 1 指的是命令和数据同时发送到 IP 核,模式 2 指的是数据提前于命令发送到 IP 核,模式 3 指的是数据落后于命令发送到 IP 核。模式 1 和 2 均可稳定传输,而模式 3 必须满足一个条件,即数据落后命令的时间不能超过两个时钟周期。本次设计我打算采用模式 1,时序设计起来比较方便。

bfb0c4a5a15c693ba5a7d3b337f65c80.png

关于 app_wdf_end 信号,该信号表示:当前突发写的最后一个数据。在A7 DDR3 的控制器IP核中,只存在突发长度为 8 地址的形式 ,1 个地址能存放的数据是 16bit,因此每一次的地址突发带来的数据突发为 8*16=128 bit(对外接口为128bit)。本次 DDR3 IP 核调取时,我们选取的 “物理层 - 用户端” 的速率为 4:1,每次发送的有效数据为 128 bit,因此1 次突发写就完成了数据的写入,app_wdf_end 和 app_wdf_en 时序上同步了。

1c9320d63f44cb07c9473a1d3c903560.png

而如果选取的 “物理层 - 用户端” 的速率为 2:1,每次发送的有效数据为 64 bit,因此1次突发要分成2次才能真正写完,app_wdf_end 就看得更清楚了。

e7127944d4d00eb145bcbf66fdee582f.png

本次设计采用第一种【数据对齐模式】如下所示:

3、读总线(表格黄色部分)

读总线也分为两种速率,4:1 和 2:1。读就比较简单了,由 User Guide 可知各信号之间的逻辑关系,读数据是在给出命令之后一段时间后开始出现的。图中没有给出 app_rd_data_end 信号,此信号和 app_wdf_end是相同的,即在DDR3的物理层端与用户端存在两种速率情况,此次设计速率为4:1,app_rd_data_end 和 app_rd_data_valid 相同。说白了就是给到命令和地址,过一段时间数据和数据有效指示就出来了。设计时要注意这个“过一段时间”是不确定的,因此读地址和读命令给完后就不要给了,之后要进行等待,等到读数据全部出来后才可以再做的别的操作。

ab6575fe9ab33b17762a3e118db3b176.png

三、完整代码

之前的 DDR2 控制器设计中,采用了对 DDR2 IP 再次封装的方法,而 DDR3 也完全可以这样做。设计一个 DDR3_burst 文件,对 DDR3 IP 进行一次外部突发的封装,方便后面的控制。

1 //**************************************************************************2 //*** 名称 : DDR3_burst.v3 //*** 作者 : xianyu_FPGA4 //*** 博客 :https://www.cnblogs.com/xianyufpga/

5 //*** 日期 : 2020年6月6 //*** 描述 : 完成一次DDR3的突发7 //**************************************************************************

8 moduleDDR3_burst9 //============================< 参数 >======================================

10 #(11 parameter DDR_DM_W = 2 , //芯片dm位宽

12 parameter DDR_DQS_W = 2 , //芯片dqs位宽

13 parameter DDR_BANK_W = 3 , //芯片bank位宽

14 parameter DDR_ADDR_W = 14 , //芯片地址位宽

15 parameter DDR_DATA_W = 16 , //芯片数据位宽16 //-------------------------------------------------------

17 parameter APP_DATA_W = 128 , //用户数据位宽

18 parameter APP_ADDR_W = 28 , //用户地址位宽19 //-------------------------------------------------------

20 parameter BURST_ADDR_W = 25 //外部突发位宽 28-3

21 )22 //============================< 信号 >======================================

23 (24 //时钟和复位 --------------------------------------------

25 input sys_clk_i , //DDR3 参考时钟

26 input sys_rst , //FPGA 全局复位

27 output ui_clk , //DDR3 工作时钟

28 output DDR3_rst , //DDR3 同步复位29 //突发读写接口 ------------------------------------------

30 input burst_rd_req , //突发读请求

31 input burst_wr_req , //突发写请求

32 input [BURST_ADDR_W -3:0] burst_rd_len , //突发读长度

33 input [BURST_ADDR_W -3:0] burst_wr_len , //突发写长度

34 input [BURST_ADDR_W -1:0] burst_rd_addr , //突发读地址

35 input [BURST_ADDR_W -1:0] burst_wr_addr , //突发写地址

36 output [APP_DATA_W -1:0] burst_rd_data , //突发读数据

37 input [APP_DATA_W -1:0] burst_wr_data , //突发写数据

38 output burst_rd_ack , //突发读应答,连接FIFO

39 output burst_wr_ack , //突发写应答,连接FIFO

40 output reg burst_rd_done , //突发读完成信号

41 output reg burst_wr_done , //突发写完成信号42 //DDR3芯片接口 ------------------------------------------

43 output [DDR_ADDR_W -1:0] ddr3_addr ,44 output [DDR_BANK_W -1:0] ddr3_ba ,45 outputddr3_cas_n ,46 outputddr3_ck_n ,47 outputddr3_ck_p ,48 outputddr3_cke ,49 outputddr3_ras_n ,50 outputddr3_cs_n ,51 outputddr3_reset_n ,52 outputddr3_we_n ,53 inout [DDR_DATA_W -1:0] ddr3_dq ,54 inout [DDR_DQS_W -1:0] ddr3_dqs_n ,55 inout [DDR_DQS_W -1:0] ddr3_dqs_p ,56 output [DDR_DM_W -1:0] ddr3_dm ,57 outputddr3_odt58 );59 //============================< 信号 >======================================

60 reg [APP_ADDR_W -1:0] app_addr ;61 wire [2:0] app_cmd ;62 wireapp_en ;63 wire [APP_DATA_W -1:0] app_wdf_data ;64 wireapp_wdf_end ;65 wireapp_wdf_wren ;66 wire [APP_DATA_W -1:0] app_rd_data ;67 wireapp_rd_data_end ;68 wireapp_rd_data_valid ;69 wireapp_rdy ;70 wireapp_wdf_rdy ;71 //-------------------------------------------------------

72 reg [4:0] state ;73 reg [BURST_ADDR_W -1:0] rd_len ;74 reg [BURST_ADDR_W -1:0] wr_len ;75 reg [BURST_ADDR_W -1:0] rd_addr_cnt ; //读地址计数器

76 reg [BURST_ADDR_W -1:0] rd_data_cnt ; //读数据计数器

77 reg [BURST_ADDR_W -1:0] wr_data_cnt ; //一次突发写内的计数器78 //============================< 参数 >======================================

79 localparam DDR3_BL = 8;80 //-------------------------------------------------------

81 localparam IDLE = 5'b00001 ; //空闲状态

82 localparam ARBIT = 5'b00010 ; //仲裁状态

83 localparam WR = 5'b00100 ; //写准备状态

84 localparam RD_ADDR = 5'b01000 ; //读状态

85 localparam RD_WAIT = 5'b10000 ; //读等待状态

86 //==========================================================================87 //== DDR3 IP, input 200Mhz, get 400Mhz, ui 100Mhz88 //==========================================================================

89 DDR3 u_DDR390 (91 .ddr3_addr (ddr3_addr ), //output [13:0]

92 .ddr3_ba (ddr3_ba ), //output [ 2:0]

93 .ddr3_cas_n (ddr3_cas_n ), //output

94 .ddr3_ck_n (ddr3_ck_n ), //output

95 .ddr3_ck_p (ddr3_ck_p ), //output

96 .ddr3_cke (ddr3_cke ), //output

97 .ddr3_ras_n (ddr3_ras_n ), //output

98 .ddr3_reset_n (ddr3_reset_n ), //output

99 .ddr3_we_n (ddr3_we_n ), //output

100 .ddr3_dq (ddr3_dq ), //inout [15:0]

101 .ddr3_dqs_n (ddr3_dqs_n ), //inout [ 1:0]

102 .ddr3_dqs_p (ddr3_dqs_p ), //inout [ 1:0]

103 .init_calib_complete (init_calib_complete ), //output

104 .ddr3_cs_n (ddr3_cs_n ), //output

105 .ddr3_dm (ddr3_dm ), //output [ 1:0]

106 .ddr3_odt (ddr3_odt ), //output107 //---------------------------------------------------

108 .app_addr (app_addr ), //input [27:0]

109 .app_cmd (app_cmd ), //input [ 2:0]

110 .app_en (app_en ), //input

111 .app_wdf_data (app_wdf_data ), //input [127:0]

112 .app_wdf_end (app_wdf_end ), //input

113 .app_wdf_wren (app_wdf_wren ), //input

114 .app_rd_data (app_rd_data ), //output [127:0]

115 .app_rd_data_end (app_rd_data_end ), //output

116 .app_rd_data_valid (app_rd_data_valid ), //output

117 .app_rdy (app_rdy ), //output

118 .app_wdf_rdy (app_wdf_rdy ), //output

119 .app_sr_req (1'b0 ), //input

120 .app_ref_req (1'b0 ), //input

121 .app_zq_req (1'b0 ), //input

122 .app_sr_active ( ), //output

123 .app_ref_ack ( ), //output

124 .app_zq_ack ( ), //output

125 .ui_clk (ui_clk ), //output 100Mhz

126 .ui_clk_sync_rst (ui_clk_sync_rst ), //output

127 .app_wdf_mask (16'b0000_0000_0000_0000), //input [15:0]

128 //---------------------------------------------------

129 .sys_clk_i (sys_clk_i ), //input 200Mhz

130 .sys_rst (sys_rst ) //input 系统复位

131 );132

133 //复位信号

134 assign DDR3_rst = ui_clk_sync_rst | (~init_calib_complete);135 //==========================================================================136 //== 状态机137 //==========================================================================

138 always @(posedge ui_clk) begin

139 if(DDR3_rst) begin

140 state <=IDLE;141 burst_wr_done <= 1'b0;

142 burst_rd_done <= 1'b0;

143

144 end

145 else begin

146 case(state)147 //--------------------------------------------------- 空闲

148 IDLE: begin

149 burst_wr_done <= 1'b0;

150 burst_rd_done <= 1'b0;

151 state <=ARBIT;152 end

153 ARBIT: begin

154 if(burst_wr_req) begin

155 state <=WR;156 end

157 else if(burst_rd_req) begin

158 state <=RD_ADDR;159 end

160 end

161 //--------------------------------------------------- 写数据

162 WR: begin

163 if(wr_data_cnt >= wr_len - 1 && app_wdf_rdy && app_rdy) begin

164 state <=IDLE;165 burst_wr_done <= 1'b1;

166 end

167 end

168 //--------------------------------------------------- 读地址

169 RD_ADDR:begin

170 if(rd_addr_cnt >= rd_len - 1 && app_rdy) begin

171 state <=RD_WAIT;172 end

173 end

174 //--------------------------------------------------- 读数据等待

175 RD_WAIT:begin

176 if(rd_data_cnt >= rd_len - 1) begin

177 state <=IDLE;178 burst_rd_done <= 1'b1;

179 end

180 end

181 default: state <=IDLE;182 endcase

183 end

184 end

185

186 //状态机名称,Modelsim测试用187 //---------------------------------------------------

188 reg [55:0] state_name; //1个字符8位宽

189 always @(*) begin

190 case(state)191 IDLE : state_name = "IDLE";192 ARBIT : state_name = "ARBIT";193 WR : state_name = "WR";194 RD_ADDR : state_name = "RD_ADDR";195 RD_WAIT : state_name = "RD_WAIT";196 default : state_name = "IDLE";197 endcase

198 end

199 //==========================================================================200 //== 在进入读写状态前锁存读写突发长度201 //==========================================================================

202 always @(posedge ui_clk) begin

203 if(DDR3_rst)204 rd_len <= 'b0;

205 else if(state == ARBIT &&burst_rd_req)206 rd_len <=burst_rd_len;207 end

208

209 always @(posedge ui_clk) begin

210 if(DDR3_rst)211 wr_len <= 'b0;

212 else if(state == ARBIT &&burst_wr_req)213 wr_len <=burst_wr_len;214 end

215 //==========================================================================216 //== 在一次写突发内,写数据个数计数器不断递增217 //==========================================================================

218 always @(posedge ui_clk) begin

219 if(DDR3_rst)220 wr_data_cnt <= 'b0;

221 else if(state == WR && app_wdf_rdy && app_rdy) begin

222 if(wr_data_cnt >= wr_len - 1)223 wr_data_cnt <= 'b0;

224 else

225 wr_data_cnt <= wr_data_cnt + 'b1;

226 end

227 end

228 //==========================================================================229 //== 每次给出读指令时,读地址递增一个突发230 //==========================================================================

231 always @(posedge ui_clk) begin

232 if(DDR3_rst)233 rd_addr_cnt <= 'b0;

234 else if(state == RD_ADDR && app_rdy) begin

235 if(rd_addr_cnt >= rd_len - 1)236 rd_addr_cnt <= 'b0;

237 else

238 rd_addr_cnt <= rd_addr_cnt + 1;239 end

240 end

241 //==========================================================================242 //== 每读出一个数据时,数据个数递增1243 //==========================================================================

244 always @(posedge ui_clk) begin

245 if(DDR3_rst)246 rd_data_cnt <= 'b0;

247 else if(app_rd_data_valid) begin

248 if(rd_data_cnt >= rd_len - 1)249 rd_data_cnt <= 'b0;

250 else

251 rd_data_cnt <= rd_data_cnt + 'b1;

252 end

253 end

254 //==========================================================================255 //== 锁存local_address,并且在完成一次突发读写时递增读写地址256 //==========================================================================

257 always @(posedge ui_clk) begin

258 if(DDR3_rst) begin

259 app_addr <= 'b0;

260 end

261 else if(state == ARBIT && burst_wr_req) begin

262 app_addr <= {burst_wr_addr,3'b0}; //和外界呈8倍关系

263 end

264 else if(state == ARBIT && burst_rd_req) begin

265 app_addr <= {burst_rd_addr,3'b0}; //和外界呈8倍关系

266 end

267 else if(state == WR && (wr_data_cnt < wr_len - 1) && app_wdf_rdy && app_rdy) begin

268 app_addr <= app_addr +DDR3_BL;269 end

270 else if(state == RD_ADDR && (rd_addr_cnt < rd_len - 1) && app_rdy) begin

271 app_addr <= app_addr +DDR3_BL;272 end

273 end

274 //==========================================================================275 //== DDR3其他信号276 //==========================================================================277 //命令

278 assign app_cmd = (state == RD_ADDR || state == RD_WAIT) ? 3'b001 : 3'b000;279

280 //使能

281 assign app_en = (state == WR || state == RD_ADDR) ? 1'b1 : 1'b0;282

283 //读数据

284 assign burst_rd_data =app_rd_data;285

286 //读应答,即读FIFO的写使能

287 assign burst_rd_ack =app_rd_data_valid;288

289 //写数据

290 assign app_wdf_data =burst_wr_data;291

292 //写应答,即写FIFO的读使能

293 assign burst_wr_ack = (state == WR && app_wdf_rdy && app_rdy) ? 1'b1 : 1'b0;294

295 //写使能,指示数据写入

296 assign app_wdf_wren =burst_wr_ack;297

298 //写结束,4:1模式下二者相等

299 assign app_wdf_end =app_wdf_wren;300

301

302

303 endmodule

DDR3 IP 的信号和 DDR2 IP 的信号还是有很多不一样的地方,此外 DDR3_burst 采用的状态机删除了 WR_RDY 提前一拍信号,该状态在 DDR2_burst 中的作用是提前一拍写,配合外部的 normal 模式的写FIFO,该模式下 FIFO 读使能后一拍读数据才出来。 DDR3_burst 如果也这样做,写数据的对齐比较难设计,设计结果总是不尽人意,所以就删除了该状态。不过没有关系,不提前的话,非常方便采用第一种【数据对齐模式】,而外部写 FIFO 采用 first word fall through 模式(show ahead模式)就行了,该模式下 FIFO 的读使能和读数据完全对齐。需要注意一点的是地址的变换,外部地址和本模块地址是 8 倍关系,因此 262 行和 265 行的地址传递中,通过位数加 3 个 0 的方法实现乘 8 的效果。顶层的 APP_ADDR_W 和 BURST_ADDR_W 的位数相差 3 也是这个原因。

IDLE 到读写中间插入了 ARBIT 状态,目的是为了配合上一层模块的数据和地址传进来,多给一个周期后,地址更新的时序对得比较齐。

四、仿真测试

1 `timescale 1ns/1ps //时间精度

2 `define Clock 5 //时钟周期

3

4 moduleDDR3_burst_tb;5 //============================< 参数 >======================================

6 parameter DDR_DM_W = 2 ; //芯片dm位宽

7 parameter DDR_DQS_W = 2 ; //芯片dqs位宽

8 parameter DDR_BANK_W = 3 ; //芯片bank位宽

9 parameter DDR_ADDR_W = 14 ; //芯片地址位宽

10 parameter DDR_DATA_W = 16 ; //芯片数据位宽11 //-------------------------------------------------------

12 parameter APP_DATA_W = 128 ; //用户数据位宽

13 parameter APP_ADDR_W = 28 ; //用户地址位宽14 //-------------------------------------------------------

15 parameter BURST_ADDR_W = 25 ; //外部突发位宽 28-316 //============================< 端口 >======================================

17 reg sys_clk_i ; //DDR3 参考时钟

18 reg sys_rst ; //FPGA 全局复位

19 wire ui_clk ; //DDR3 工作时钟

20 wire DDR3_rst ; //DDR3 同步复位21 //突发读写接口 ------------------------------------------

22 reg burst_rd_req ; //突发读请求

23 reg burst_wr_req ; //突发写请求

24 reg [BURST_ADDR_W -3:0] burst_rd_len ; //突发读长度

25 reg [BURST_ADDR_W -3:0] burst_wr_len ; //突发写长度

26 reg [BURST_ADDR_W -1:0] burst_rd_addr ; //突发读地址

27 reg [BURST_ADDR_W -1:0] burst_wr_addr ; //突发写地址

28 wire [APP_DATA_W -1:0] burst_rd_data ; //突发读数据

29 reg [APP_DATA_W -1:0] burst_wr_data ; //突发写数据

30 wire burst_rd_ack ; //突发读应答,连接FIFO

31 wire burst_wr_ack ; //突发写应答,连接FIFO

32 wire burst_rd_done ; //突发读完成信号

33 wire burst_wr_done ; //突发写完成信号34 //DDR3芯片接口 ------------------------------------------

35 wire [DDR_ADDR_W -1:0] ddr3_addr ;36 wire [DDR_BANK_W -1:0] ddr3_ba ;37 wireddr3_cas_n ;38 wireddr3_ck_n ;39 wireddr3_ck_p ;40 wireddr3_cke ;41 wireddr3_ras_n ;42 wireddr3_cs_n ;43 wireddr3_reset_n ;44 wireddr3_we_n ;45 wire [DDR_DATA_W -1:0] ddr3_dq ;46 wire [DDR_DQS_W -1:0] ddr3_dqs_n ;47 wire [DDR_DQS_W -1:0] ddr3_dqs_p ;48 wire [DDR_DM_W -1:0] ddr3_dm ;49 wireddr3_odt ;50 //==========================================================================51 //== 模块例化52 //==========================================================================

53 DDR3_burst54 #(55 .DDR_DM_W (DDR_DM_W ), //芯片dm位宽

56 .DDR_DQS_W (DDR_DQS_W ), //芯片dqs位宽

57 .DDR_BANK_W (DDR_BANK_W ), //芯片bank位宽

58 .DDR_ADDR_W (DDR_ADDR_W ), //芯片地址位宽

59 .DDR_DATA_W (DDR_DATA_W ), //芯片数据位宽60 //---------------------------------------------------

61 .APP_DATA_W (APP_DATA_W ), //用户数据位宽

62 .APP_ADDR_W (APP_ADDR_W ), //用户地址位宽63 //---------------------------------------------------

64 .BURST_ADDR_W (BURST_ADDR_W ) //外部突发位宽 28-3

65 )66 u_DDR3_burst67 (68 .sys_clk_i (sys_clk_i ), //DDR3 参考时钟

69 .sys_rst (sys_rst ), //FPGA 全局复位

70 .ui_clk (ui_clk ), //DDR3 工作时钟

71 .DDR3_rst (DDR3_rst ), //DDR3 同步复位72 //---------------------------------------------------

73 .burst_rd_req (burst_rd_req ), //突发读请求

74 .burst_wr_req (burst_wr_req ), //突发写请求

75 .burst_rd_len (burst_rd_len ), //突发读长度

76 .burst_wr_len (burst_wr_len ), //突发写长度

77 .burst_rd_addr (burst_rd_addr ), //突发读地址

78 .burst_wr_addr (burst_wr_addr ), //突发写地址

79 .burst_rd_data (burst_rd_data ), //突发读数据

80 .burst_wr_data (burst_wr_data ), //突发写数据

81 .burst_rd_ack (burst_rd_ack ), //突发读应答,连接FIFO

82 .burst_wr_ack (burst_wr_ack ), //突发写应答,连接FIFO

83 .burst_rd_done (burst_rd_done ), //突发读完成信号

84 .burst_wr_done (burst_wr_done ), //突发写完成信号85 //---------------------------------------------------

86 .ddr3_addr (ddr3_addr ),87 .ddr3_ba (ddr3_ba ),88 .ddr3_cas_n (ddr3_cas_n ),89 .ddr3_ck_n (ddr3_ck_n ),90 .ddr3_ck_p (ddr3_ck_p ),91 .ddr3_cke (ddr3_cke ),92 .ddr3_ras_n (ddr3_ras_n ),93 .ddr3_cs_n (ddr3_cs_n ),94 .ddr3_reset_n (ddr3_reset_n ),95 .ddr3_we_n (ddr3_we_n ),96 .ddr3_dq (ddr3_dq ),97 .ddr3_dqs_n (ddr3_dqs_n ),98 .ddr3_dqs_p (ddr3_dqs_p ),99 .ddr3_dm (ddr3_dm ),100 .ddr3_odt (ddr3_odt )101 );102

103 //仿真模型

104 ddr3_model u_ddr3_model105 (106 .rst_n (ddr3_reset_n ),107 .ck (ddr3_ck_p ),108 .ck_n (ddr3_ck_n ),109 .cke (ddr3_cke ),110 .cs_n (ddr3_cs_n ),111 .ras_n (ddr3_ras_n ),112 .cas_n (ddr3_cas_n ),113 .we_n (ddr3_we_n ),114 .dm_tdqs ({ddr3_dm[1],ddr3_dm[0]} ), //ddr3_dm为2位

115 .ba (ddr3_ba ),116 .addr (ddr3_addr ),117 .dq (ddr3_dq[15:0] ), //ddr3_dq为16位

118 .dqs ({ddr3_dqs_p[1],ddr3_dqs_p[0]} ), //ddr3_dqs_p为2位

119 .dqs_n ({ddr3_dqs_n[1],ddr3_dqs_n[0]} ), //ddr3_dqs_n为2位

120 .tdqs_n ( ),121 .odt (ddr3_odt )122 );123 //==========================================================================124 //== 时钟信号和复位信号125 //==========================================================================

126 initial begin

127 sys_clk_i = 1;128 forever

129 #(`Clock/2) sys_clk_i = ~sys_clk_i;130 end

131

132 initial begin

133 sys_rst = 0; #(`Clock*20+1);134 sys_rst = 1;135 end

136 //==========================================================================137 //== 设计输入信号138 //==========================================================================

139 initial begin

140 burst_wr_req = 0;141 burst_rd_req = 0;142 burst_wr_len = 16;143 burst_rd_len = 16;144 burst_wr_addr = 0;145 burst_rd_addr = 0;146 burst_wr_data = 0;147 #(`Clock*20+1);148 //--------------------------------------------------- 第1次149 //写

150 @(negedge u_DDR3_burst.DDR3_rst); //初始化完成,复位结束

151 @(posedgeui_clk);152 burst_wr_addr = 1;153 burst_wr_req = 1;154 @(posedgeui_clk);155 burst_wr_req = 0;156 //读

157 @(posedgeburst_wr_done);158 @(posedgeui_clk);159 burst_rd_addr = 1;160 burst_rd_req = 1;161 @(posedgeui_clk);162 burst_rd_req = 0;163 //--------------------------------------------------- 第2次164 //写

165 @(posedgeburst_rd_done);166 @(posedgeui_clk);167 burst_wr_addr = 2;168 burst_wr_req = 1;169 @(posedgeui_clk);170 burst_wr_req = 0;171 //读

172 @(posedgeburst_wr_done);173 @(posedgeui_clk);174 burst_rd_addr = 2;175 burst_rd_req = 1;176 @(posedgeui_clk);177 burst_rd_req = 0;178 end

179

180 //设计写数据 1

181 taskgen_data_1;182 integeri;183 begin

184 @(posedgeburst_wr_ack);185 for(i=1;i<=16;i=i+1) begin

186 burst_wr_data =i;187

188 @(posedgeui_clk);189 if(!burst_wr_ack)190 i = i-1;191 end

192

193 end

194 endtask195

196 //设计写数据 2

197 taskgen_data_2;198 integeri;199 begin

200 @(posedgeburst_wr_ack);201 for(i=21;i<=36;i=i+1) begin

202 burst_wr_data =i;203

204 @(posedgeui_clk);205 if(!burst_wr_ack)206 i = i-1;207 end

208

209 end

210 endtask211

212 initial begin

213 gen_data_1;214 gen_data_2;215 end

216

217

218 endmodule

仿真设计了两次读写,突发长度都是 16。第1次读写的数据为 1-16,地址从 1 开始(内部转化为8开始),第 2 次读写的数据为 21-36,地址从 2 开始(内部转化为 16开始)。

五、仿真波形

1、第一次写和读

外部突发长度设置为 16,写入初始外部地址为 1(内部就是8),写入数据 1-16,波形如下所示:

a55384121d0bc00bc5f83c2de5c9d6b6.png

2、第二次写和读

外部突发长度设置为 16,写入外部初始地址为 2(内部就是16),写入数据 21-36,波形如下所示:

7406186828458cd4876e86089a985075.png

3、打印窗口

2472cc74a9835cd78aff7777890e839a.png

六、DDR2 和 DDR3 不同点

1、突发长度

DDR2 在满速率的情况下突发长度是 4,每一个 local 数据可以看出包含了 2 个芯片数据,貌似和理论突发长度 4 不一致,但其实是因为 DDR2 IP 有一个神奇的信号 loca_size,该信号充当了类似突发长度的功能,满速率情况下,local_size一般设置为 2,这样每次传输 local_size 个数据,就完成了一次突发。而 local_size 的具体数字还可以变化(具体变化规则查看datasheet),可以根据外部数据个数,在代码上进行更改。

3b160ac4e1cad350273700199d107958.png

而 DDR3 的突发长度是 8,这是改不了的,它没有 local_size 这个神奇信号,它的地址就是要每次递增 8 位。

2、地址存放的数据位宽

DDR2 的 local_address 存放的数据位宽就是 local_wdata/local_rdata的位宽,以 local_size = 2 为例,每凑齐 2 个数据,地址就突发 2,而最后只有一个数据时,可以更改 local_size = 1,地址也就突发1。而 DDR3 的 app_addr 存放的数据位宽是芯片地址存放的数据位宽,例如芯片地址存放的是 16 位,app_addr 的地址存放的数据位宽也是 16 位。由于 app_wdf_data/app_rd_data 是 128 位的,所以每来 1 个数据,地址要突发 8 。因此 DDR2_burst 的设计中有 wrburst_cnt,而 DDR3_burst 的设计就不需要了,直接来几个数据,地址就突发几个 8。

七、注意事项

1、app_cmd 信号只有写(000)和读(001)两种方式,必须严格设计。

2、app_en 只针对写和读地址,读数据时需关闭,否则可能读数据时也在读地址,最后读出的数据会出错。

3、虽然说 app_rdy 信号是针对 addr 和 app_cmd 的,而app_wdf_rdy 是针对写数据的,但设计的写模式是【数据对齐模式】,还是写上 app_rdy && app_wdf_rdy 吧,这样直接就是对齐的。

4、本次设计采用 4:1 模式,app_wdf_end 和 app_wdf_wren 是相等的,app_rd_data_valid 和 app_rd_data_end 也是相等的。

参考资料:V3学院FPGA教程

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/weixin_30062561/article/details/114165502

智能推荐

什么是内部类?成员内部类、静态内部类、局部内部类和匿名内部类的区别及作用?_成员内部类和局部内部类的区别-程序员宅基地

文章浏览阅读3.4k次,点赞8次,收藏42次。一、什么是内部类?or 内部类的概念内部类是定义在另一个类中的类;下面类TestB是类TestA的内部类。即内部类对象引用了实例化该内部对象的外围类对象。public class TestA{ class TestB {}}二、 为什么需要内部类?or 内部类有什么作用?1、 内部类方法可以访问该类定义所在的作用域中的数据,包括私有数据。2、内部类可以对同一个包中的其他类隐藏起来。3、 当想要定义一个回调函数且不想编写大量代码时,使用匿名内部类比较便捷。三、 内部类的分类成员内部_成员内部类和局部内部类的区别

分布式系统_分布式系统运维工具-程序员宅基地

文章浏览阅读118次。分布式系统要求拆分分布式思想的实质搭配要求分布式系统要求按照某些特定的规则将项目进行拆分。如果将一个项目的所有模板功能都写到一起,当某个模块出现问题时将直接导致整个服务器出现问题。拆分按照业务拆分为不同的服务器,有效的降低系统架构的耦合性在业务拆分的基础上可按照代码层级进行拆分(view、controller、service、pojo)分布式思想的实质分布式思想的实质是为了系统的..._分布式系统运维工具

用Exce分析l数据极简入门_exce l趋势分析数据量-程序员宅基地

文章浏览阅读174次。1.数据源准备2.数据处理step1:数据表处理应用函数:①VLOOKUP函数; ② CONCATENATE函数终表:step2:数据透视表统计分析(1) 透视表汇总不同渠道用户数, 金额(2)透视表汇总不同日期购买用户数,金额(3)透视表汇总不同用户购买订单数,金额step3:讲第二步结果可视化, 比如, 柱形图(1)不同渠道用户数, 金额(2)不同日期..._exce l趋势分析数据量

宁盾堡垒机双因素认证方案_horizon宁盾双因素配置-程序员宅基地

文章浏览阅读3.3k次。堡垒机可以为企业实现服务器、网络设备、数据库、安全设备等的集中管控和安全可靠运行,帮助IT运维人员提高工作效率。通俗来说,就是用来控制哪些人可以登录哪些资产(事先防范和事中控制),以及录像记录登录资产后做了什么事情(事后溯源)。由于堡垒机内部保存着企业所有的设备资产和权限关系,是企业内部信息安全的重要一环。但目前出现的以下问题产生了很大安全隐患:密码设置过于简单,容易被暴力破解;为方便记忆,设置统一的密码,一旦单点被破,极易引发全面危机。在单一的静态密码验证机制下,登录密码是堡垒机安全的唯一_horizon宁盾双因素配置

谷歌浏览器安装(Win、Linux、离线安装)_chrome linux debian离线安装依赖-程序员宅基地

文章浏览阅读7.7k次,点赞4次,收藏16次。Chrome作为一款挺不错的浏览器,其有着诸多的优良特性,并且支持跨平台。其支持(Windows、Linux、Mac OS X、BSD、Android),在绝大多数情况下,其的安装都很简单,但有时会由于网络原因,无法安装,所以在这里总结下Chrome的安装。Windows下的安装:在线安装:离线安装:Linux下的安装:在线安装:离线安装:..._chrome linux debian离线安装依赖

烤仔TVの尚书房 | 逃离北上广?不如押宝越南“北上广”-程序员宅基地

文章浏览阅读153次。中国发达城市榜单每天都在刷新,但无非是北上广轮流坐庄。北京拥有最顶尖的文化资源,上海是“摩登”的国际化大都市,广州是活力四射的千年商都。GDP和发展潜力是衡量城市的数字指...

随便推点

java spark的使用和配置_使用java调用spark注册进去的程序-程序员宅基地

文章浏览阅读3.3k次。前言spark在java使用比较少,多是scala的用法,我这里介绍一下我在项目中使用的代码配置详细算法的使用请点击我主页列表查看版本jar版本说明spark3.0.1scala2.12这个版本注意和spark版本对应,只是为了引jar包springboot版本2.3.2.RELEASEmaven<!-- spark --> <dependency> <gro_使用java调用spark注册进去的程序

汽车零部件开发工具巨头V公司全套bootloader中UDS协议栈源代码,自己完成底层外设驱动开发后,集成即可使用_uds协议栈 源代码-程序员宅基地

文章浏览阅读4.8k次。汽车零部件开发工具巨头V公司全套bootloader中UDS协议栈源代码,自己完成底层外设驱动开发后,集成即可使用,代码精简高效,大厂出品有量产保证。:139800617636213023darcy169_uds协议栈 源代码

AUTOSAR基础篇之OS(下)_autosar 定义了 5 种多核支持类型-程序员宅基地

文章浏览阅读4.6k次,点赞20次,收藏148次。AUTOSAR基础篇之OS(下)前言首先,请问大家几个小小的问题,你清楚:你知道多核OS在什么场景下使用吗?多核系统OS又是如何协同启动或者关闭的呢?AUTOSAR OS存在哪些功能安全等方面的要求呢?多核OS之间的启动关闭与单核相比又存在哪些异同呢?。。。。。。今天,我们来一起探索并回答这些问题。为了便于大家理解,以下是本文的主题大纲:[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-JCXrdI0k-1636287756923)(https://gite_autosar 定义了 5 种多核支持类型

VS报错无法打开自己写的头文件_vs2013打不开自己定义的头文件-程序员宅基地

文章浏览阅读2.2k次,点赞6次,收藏14次。原因:自己写的头文件没有被加入到方案的包含目录中去,无法被检索到,也就无法打开。将自己写的头文件都放入header files。然后在VS界面上,右键方案名,点击属性。将自己头文件夹的目录添加进去。_vs2013打不开自己定义的头文件

【Redis】Redis基础命令集详解_redis命令-程序员宅基地

文章浏览阅读3.3w次,点赞80次,收藏342次。此时,可以将系统中所有用户的 Session 数据全部保存到 Redis 中,用户在提交新的请求后,系统先从Redis 中查找相应的Session 数据,如果存在,则再进行相关操作,否则跳转到登录页面。此时,可以将系统中所有用户的 Session 数据全部保存到 Redis 中,用户在提交新的请求后,系统先从Redis 中查找相应的Session 数据,如果存在,则再进行相关操作,否则跳转到登录页面。当数据量很大时,count 的数量的指定可能会不起作用,Redis 会自动调整每次的遍历数目。_redis命令

URP渲染管线简介-程序员宅基地

文章浏览阅读449次,点赞3次,收藏3次。URP的设计目标是在保持高性能的同时,提供更多的渲染功能和自定义选项。与普通项目相比,会多出Presets文件夹,里面包含着一些设置,包括本色,声音,法线,贴图等设置。全局只有主光源和附加光源,主光源只支持平行光,附加光源数量有限制,主光源和附加光源在一次Pass中可以一起着色。URP:全局只有主光源和附加光源,主光源只支持平行光,附加光源数量有限制,一次Pass可以计算多个光源。可编程渲染管线:渲染策略是可以供程序员定制的,可以定制的有:光照计算和光源,深度测试,摄像机光照烘焙,后期处理策略等等。_urp渲染管线